Sevent segment clock VHDL | Sololearn: Learn to code for FREE!
New course! Every coder should learn Generative AI!
Try a free lesson
0

Sevent segment clock VHDL

The instructor of the class does not always fancy himself as a morning person, hence he has assigned his undergraduate and graduate students a task of building him a clock. Requirements: 1. The clock will utilize the six seven segment displays to display the time in Military Time ( 24 hour clock) and 12 hour time . And run freely

17th Oct 2021, 6:49 PM
RyanNyeck
2 Answers
0
SW9 will Pause or Run the clock depending on the state. If Key 1 is pressed during this time clock is set to 00:00:00 During this time when the clock is paused, we can set the alarm on the clock (Graduate part). The alarms will be only at the top of the hour. i.e. 00, 01, 02, 03 … 23. We can NOT set the alarm while the clock is running.
17th Oct 2021, 6:50 PM
RyanNyeck
0
4. This must include basic state machine in its own State_machine.vhd file, but I would also have a single free running counter that is connected to a counter for minutes which is connected to a counter for hours. The state machine would be the basis for switching between 12- and 24-hour time. The alarm can be its own state machine or incorporated into the larger state machine. 5. For 12-hour time use an LED to indicate AM or PM (LED0) use SW08 to switch between 12 and 24 hour time
17th Oct 2021, 6:50 PM
RyanNyeck